0%

只需xx元,一周学会Verilog HDL,看到赚到 +qq 就能领取Verilog大礼包(一)

工欲善其事,必先利其器

用记事本太无趣,用vim太高端

想要高效地码 Verilog,那必得有个还凑合的编辑器,搭建良好的开发环境令人身心舒畅,修行一日千里(建完以后菜鸡还是菜鸡,蒟蒻还是蒟蒻)。

VSCode + Icarus Verilog(iverilog) + GTKWave

在 Win10 下,既能够省空间,又能保障功能齐全的,综合考量下选择:
VSCode + Icarus Verilog(iverilog) + GTKWave
emmmmm… 其实是因为在我电脑上这仨都有,然后B站上还搜到了教程 /大笑
ps:想看视频的直接去文章底部找链接

配置使用Icarus Verilog(iverilog) 与 GTKWave

下载安装

直接找安装包下载,这俩都在一起,链接如下:
http://bleyer.org/icarus/

本人直接用的老师给的 iverilog-10.0-x86_setup.exe, 以下都是这个版本;

搞完了以后打开大概是这个样子:

avatar

本人安装的路径为:D:\Digital_iverilog\iverilog

配置环境变量

为了方便在在 windows 命令行界面的所有位置都能调用已经下载好的 iverilog.exe 和 gtkwave.exe,我们需要配置好环境变量。

打开 控制面板>>系统和安全>>系统>>高级系统设置>>环境变量>>系统变量;
在系统变量中找到Path进行编辑;
把应用程序 iverilog.exe 和 gtkwave.exe 的位置都编辑到 Path 变量下,点击确定即可;
这里我的路径:
iverilog.exe:D:\Digital_iverilog\iverilog\bin
gtkwave.exe:D:\Digital_iverilog\iverilog\gtkwave\bin

具体可以参考以下截图:

avatar

avatar

avatar

配置完成后记得重启电脑。

检验

win + r 打开 cmd ,输入 iverilog -V 与 gtkwave -V
查看版本,验证 Path 是否成功设置。

avatar

avatar

使用

可编写 Windows 批处理文件 直接生成.vcd文件,并使用 gtkwave 来进行仿真,得到波形,这里以本人的作业为例来走一遍流程:

test.v 文件:

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
module test(in,res,clk);
input in,clk;
output res;
reg res=0;
reg [7:0]tmp=0;

always @(posedge clk)
begin
tmp[7]=tmp[6];
tmp[6]=tmp[5];
tmp[5]=tmp[4];
tmp[4]=tmp[3];
tmp[3]=tmp[2];
tmp[2]=tmp[1];
tmp[1]=tmp[0];
tmp[0]=in;

if (tmp==92)
res=1;
else
res=0;
end
endmodule

test_tb.v 文件:

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
`include "test.v"

`timescale 1ns/10 ps
module test_tb();
reg A=0;
reg clk=0;
wire res1;

test test1(
.in(A),.clk(clk),.res(res1)
);

// dump wave
initial begin
$dumpfile("test_tb.vcd");
$dumpvars(0,test_tb);
end

always #1 clk=~clk;
initial begin
A=0;
#2 A=1;
#2 A=0;
#2 A=1;
#2 A=0;
#2 A=1;
#2 A=1;
#2 A=1;
#2 A=0;
#2 A=0;
#2 A=0;
#2 A=0;
#2 A=1;
#10
$finish;
end
endmodule

Windows 批处理文件 “cmd.bat”:
可先创建一个.txt文件,写入代码后保存,再将其重命名,将其后缀名更改为.bat;

1
2
3
4
5
6
7
set testbentch_module=test_tb

iverilog -o %testbentch_module% %testbentch_module%.v
vvp %testbentch_module%
..\gtkwave\bin\gtkwave.exe %testbentch_module%.vcd

pause

运行批处理文件,注意三个文件应处于同一路径下:

avatar

可得到波形文件 test_tb.vcd 与用 gtkwave 打开此文件后得到的仿真波形:

avatar

avatar

配置使用 VSCode

下载

直接去官网下载即可:
https://code.visualstudio.com/Download

汉化

刚下完打开后也许是这个样子:

avatar

如果不习惯 English 也可以换成 Chinese(Simplified),步骤如下:
点击左侧的拓展(EXTENSIONS)(Ctrl+Shift+X),在应用商店中搜索 Chinese ,下载插件;
安装后,在 locale.json 中添加 “locale”: “zh-cn”,即可载入中文(简体)语言包;
要修改 locale.json,你可以同时按下 Ctrl+Shift+P(F1) 打开命令面板,之后输入 “config” 筛选可用命令列表,最后选择配置语言命令;
按照提示重启VSCode。

avatar

下载 Verilog 插件

同样在左侧的拓展(EXTENSIONS)(Ctrl+Shift+X)中,在应用商店中搜索 Verilog,下载插件;

avatar

avatar

配置 Verilog 插件

解压和安装一些东西:
百度云盘:
链接:https://pan.baidu.com/s/1UgSyXzWxBuLldrOnJiZp_g
提取码:8clu

解压后有:
avatar

Java 文件夹的要安装,其他的解压完了就不用管了;

然后还是在 VSCode 里面进行设置:

点击左下角的管理,再点击设置,然后搜索 Verilog ,并按图中所示四处进行更改,Verilog-format 与 ctags 的路径设置按自己的来。

avatar

avatar

avatar

完成配置

配置完成后即可在 VSCode 中编写 Verilog HDL 语言的程序了。

这个方案实现了代码高亮,语法检测,自动补全等一系列该有的功能
可以直接run代码,检测没有错误后,生成VCD文件
基本跟我们写C语言的过程一样
最后再用gtkwave打开VCD文件即可

还是以本人的作业为例走一遍流程:

先编写 test.v 文件:

avatar

再编写 test_tb.v 文件:

avatar

点击 Run Verilog HDL Code 生成 test_tb.vcd 文件:

avatar

avatar

在终端中用 gtkwave.exe 打开 test_tb.vcd 文件,生成波形:

avatar

OK,至此就把工具给整好了。


B站教程

(vs code)搭建酷炫-轻便的Verilog开发环境


参考文献

在Windows 10下配置和使用Icarus Verilog (iverilog)和GTKWave

如何使用vsCode+Icarus verilog+GTKwave编写并仿真verilog